Datalogic Star Manuel d'installation Page 123

  • Télécharger
  • Ajouter à mon manuel
  • Imprimer
  • Page
    / 128
  • Table des matières
  • MARQUE LIVRES
  • Noté. / 5. Basé sur avis des utilisateurs
Vue de la page 122
CONTROL INTERFACE
113
B
B CONTROL INTERFACE
This .idl file provides an integral interface exposed from the control in IDL notation.
/******************************************
* Name ......................... NRFCCTLControl.idl
* ------------------------------------------------------------
* Author ....................... bFM
* Date ......................... 12/04/02
* Description .................. IDL source for NRFCCTLControl.dll
*
* This file will be processed by the MIDL tool to
* produce the type library (NRFCCTLControl.tlb)
* and marshalling code.
*
*********************************************************/
import "oaidl.idl";
import "ocidl.idl";
#include "olectl.h"
interface ISats;
interface ISat;
interface IDevices;
interface IDevice;
[
object,
uuid(CF80214F-0D60-11D5-A274-005004C31B96),
dual,
helpstring("IRFNCCTL Interface"),
pointer_default(unique)
]
interface IRFNCCTL : IDispatch
{
[propget, id(DISPID_ENABLED)] HRESULT Enabled([out, retval] VARIANT_BOOL
*pbool);
[propput, id(DISPID_ENABLED)] HRESULT Enabled([in] VARIANT_BOOL vbool);
[propget, id(1), helpstring("property BaudRate")] HRESULT BaudRate([out, retval]
BSTR *pVal);
[propput, id(1), helpstring("property BaudRate")] HRESULT BaudRate([in] BSTR
newVal);
[propget, id(2), helpstring("property Satellites")] HRESULT Satellites([out, retval] ISats*
*pVal);
[propget, id(3), helpstring("property Devices")] HRESULT Devices([out, retval]
IDevices* *pVal);
[id(4), helpstring("method OpenNetwork")] HRESULT OpenNetwork([out, retval]
VARIANT_BOOL *retVal);
[id(5), helpstring("method CloseNetwork")] HRESULT CloseNetwork([out, retval]
VARIANT_BOOL *retVal);
[propget, id(6), helpstring("property CommPort")] HRESULT CommPort([out, retval]
BSTR *pVal);
[propput, id(6), helpstring("property CommPort")] HRESULT CommPort([in] BSTR
newVal);
Vue de la page 122

Commentaires sur ces manuels

Pas de commentaire